Results 1-161 of 161 (Search time: 0.002 seconds).

Issue DateTitleAuthor(s)RelationscopusWOSFulltext/Archive link
12023Numerous defects induced by exfoliation of boron-doped g-C3N4 towards active sites modulation for highly efficient solar-to-fuel conversionHussien, Mahmoud Kamal; Sabbah, Amr; Qorbani, Mohammad; Elsayed, Mohamed Hammad; Quadir, Shaham; Raghunath, Putikam; Tzou, Der-Lii M. ; Haw, Shu-Chih; Chou, Ho-Hsiu; Thang, Nguyen Quoc; Lin, M.-C.; Chen, Li-Chyong; Chen, Kuei-Hsien Materials Today Sustainability 22:100359
22023Enhancing the lithium-ion storage capability of Cu2ZnSnS4 anodes via a nitrogen-doped conductive supportSyum, Zeru; Billo, Tadesse; Sabbah, Amr; Anbalagan, Aswin kumar; Quadir, Shaham; Hailemariam, Adane Gebresilassie; Sabhapathy, Palani; Lee, Chih-Hao; Wu, Heng-Liang; Chen, Li-Chyong; Chen, Kuei-Hsien Chemical Engineering Journal 465:142786
32023Design of sculptured SnS/g-C3N4 photocatalytic nanostructure for highly efficient and selective CO2 conversion to methaneOmr, Hossam A.E.; Putikam, Raghunath; Hussien, Mahmoud Kamal; Sabbah, Amr; Lin, Tsai-Yu; Chen, Kuei-Hsien ; Wu, Heng-Liang; Feng, Shien-Ping; Lin, Ming-Chang; Lee, HyeonseokApplied Catalysis B: Environmental 324:122231
42023Improved photocatalytic activity of novel NiAl2O4/g-C3N4 binary composite for photodegradation of 2,4-dinitrophenol and CO2 reduction via gas phase adsorptionAhmad, Naveed; Kuo, Chung-Feng Jeffrey; Mustaqeem, Mujahid; Hussien, Mahmoud Kamal; Chen, Kuei-Hsien Materials Today Physics 31:100965
52023Intimate interaction of TFSI− anions with MoO3−x oxygen vacancies boost ionic conductivity of cathode-supported solid polymer electrolytePaste, Rohan; Hanmandlu, Chintam; Su, Po-Yu; Hou, Cheng-Hung; Chen, Hsin-An; Pao, Chun-Wei ; Shyue, Jing-Jong ; Chen, Kuei-Hsien ; Wu, Heng-Liang; Lin, Hong-Cheu; Chu, Chih Wei Chemical Engineering Journal 452, 139088
62023Construction of Porous Organic/Inorganic Hybrid Polymers Based on Polyhedral Oligomeric Silsesquioxane for Energy Storage and Hydrogen Production from WaterMohamed, Mohamed Gamal; Elsayed, Mohamed Hammad; Ye, Yunsheng; Samy, Maha Mohamed; Hassan, Ahmed E.; Mansoure, Tharwat Hassan; Wen, Zhenhai; Chou, Ho-Hsiu; Chen, Kuei-Hsien ; Kuo, Shiao-WeiPolymers 15(1):182
72022Synthesis, Structural and Magnetic Properties of Cobalt-Doped GaN Nanowires on Si by Atmospheric Pressure Chemical Vapor DepositionFeng, Zhe Chuan; Liu, Yu-Lun; Yiin, Jeffrey; Chen, Li-Chyong; Chen, Kuei-Hsien ; Klein, Benjamin; Ferguson, Ian T.Materials 16(1):97
82022One-Pot Photosynthesis of Cubic Fe@Fe3O4 Core–Shell Nanoparticle Well-Dispersed in N-Doping Carbonaceous Polymer Using a Molecular Dinitrosyl Iron PrecursorHabib, Ibrahim; Lu, Tsai-Te; Sabbah, Amr; Chen, Kuei-Hsien ; Tsai, Fu-Te; Liaw, Wen-FengInorganic Chemistry 61(51), 20719-20724
92022Effect of aliovalent substituted highly disordered GeTe compound's thermoelectric performanceBayikadi, Khasim Saheb; Imam, Safdar; Ubaid, Mohammad; Aziz, Anver; Chen, Kuei-Hsien ; Sankar, Raman Journal of Alloys and Compounds 922, 166221
102022Modulation and Direct Mapping of the Interfacial Band Alignment of an Eco-Friendly Zinc-Tin-Oxide Buffer Layer in SnS Solar CellsHo, Thi-Thong; Yang, Zi-Liang; Fu, Fang-Yu; Jokar, Efat; Hsu, Hung-Chang; Liu, Pei-Chi; Quadir, Shaham; Chen, Cheng-Ying; Chiu, Ya-Ping; Wu, Chih-I; Chen, Kuei-Hsien ; Chen, Li-ChyongACS Applied Energy Materials 5(11), 14531-14540
112022Enhanced thermoelectric performance of skutterudite Co1−yNiySn1.5Te1.5−x with switchable conduction behaviorSuneesh Meledath Valiyaveettil; Mohammad Qorbani; Cheng-Rong Hsing; Ta Lei Chou; Laura Paradis-Fortin; Amr Sabbah; Divya Srivastav; Duc-LongNguyen; Thi Thong Ho; Tadesse Billoa Peramaiyan Ganesan; Ching-Ming Wei ; Li-Chyong Chen; Kuei-Hsien Chen MATERIALS TODAY PHYSICS 28:100889
122022Graphene-Coated Substrate-Mediated Photoresponse from MoS2/UCNP Nanohybrid-Based PhotodetectorsTomar, Digvijay Singh; Ghosh, Sandip; Wu, Chien Ting; Quadir, Shaham; Chen, Li Chyong; Chen, Kuei Hsien ; Chattopadhyay, SurojitACS Applied Electronic Materials 4(11), 5475-5486
132022Selective CO2-to-CO photoreduction over an orthophosphate semiconductor via the direct Z-scheme heterojunction of Ag3PO4 quantum dots decorated on SnS2 nanosheetsFu, Fang-Yu; Fan, Chi-Chan; Qorbani, Mohammad; Huang, Chih-Yang; Kuo, Ping-Chun; Hwang, Jih-Shang; Shu, Guo-Jiun; Chang, Sue-Min; Wu, Heng-Liang; Wu, Chih-I; Chen, Kuei-Hsien ; Chen, Li-ChyongSustainable Energy & Fuels 6(19), 4418-4428
142022Converting n-type Co4Ge6Te6 skutterudite into p-type and enhancing its thermoelectric properties through Fe substitutionSong, Shaochang; Huang, Yuyang; Tseng, Yu-Chih; Valiyaveettil, Suneesh Meledath; Chen, Kuei-Hsien ; Mozharivskyj, YurijJournal of Alloys and Compounds 913:165314
152022Back Contact Engineering to Improve CZTSSe Solar Cell Performance by Inserting MoO3 Sacrificial NanolayersChen, Cheng-Ying; Kholimatussadiah, Septia; Chen, Wei-Chao; Lin, Yi-Rung; Lin, Jia-Wei; Chen, Po-Tuan; Chen, Ruei-San; Chen, Kuei-Hsien ; Chen, Li-ChyongSustainability 14(15):9511
162022Short- and Long-Range Cation Disorder in (AgxCu1–x)2ZnSnSe4 KesteritesQuadir, Shaham; Qorbani, Mohammad; Sabbah, Amr; Wu, Tai-Sing; Anbalagan, Aswin kumar; Chen, Wei-Tin; Meledath, Valiyaveettil Suneesh; Thong, Ho-Thi; Wang, Chin-Wei; Chen, Cheng-Ying; Lee, Chih-Hao; Chen, Kuei-Hsien ; Chen, Li-ChyongChemistry of Materials 34(15), 7058-7068
172022An ultrathin amorphous defective co-doped hematite passivation layer derived via an in situ electrochemical method for durable photoelectrochemical water oxidationFathabadi, Milad; Qorbani, Mohammad; Sabbah, Amr; Quadir, Shaham; Huang, Chih-Yang; Chen, Kuei-Hsien ; Chen, Li-Chyong; Naseri, NaimehJournal of Materials Chemistry A 10(31), 16655-16665
182022Co3V2O8 hollow spheres with mesoporous walls as high-capacitance electrode for hybrid supercapacitor deviceFahimi, Zohre; Moradlou, Omran; Sabbah, Amr; Chen, Kuei-Hsien ; Chen, Li-Chyong; Qorbani, MohammadChemical Engineering Journal 436:135225
192022S-Scheme α-Fe2O3/g-C3N4 Nanocomposites as Heterojunction Photocatalysts for Antibiotic DegradationPham, Viet Van; Truong, Thao Kim; Hai, Le Viet; La, Ha Phan Phuong; Nguyen, Hoang Thai; Lam, Vinh Quang; Tong, Hien Duy; Nguyen, Thang Quoc; Sabbah, Amr; Chen, Kuei-Hsien ; You, Sheng-Jie; Cao, Thi MinhACS Applied Nano Materials 5(3), 4506-4514
202022Atomistic insights into highly active reconstructed edges of monolayer 2H-WSe2 photocatalystQorbani, Mohammad; Sabbah, Amr; Lai, Ying-Ren; Kholimatussadiah, Septia; Quadir, Shaham; Huang, Chih-Yang; Shown, Indrajit; Huang, Yi-Fan; Hayashi, Michitoshi; Chen, Kuei-Hsien ; Chen, Li-ChyongNature Communications 13:1256
212022Enhancing the Areal Capacity and Stability of Cu2ZnSnS4 Anode Materials by Carbon Coating: Mechanistic and Structural Studies During Lithiation and DelithiationVenugopal, Boya; Syum, Zeru; Yu, Sheng-Yu; sabbah, Amr; Shown, Indrajit; Chu, Chih-Wei ; Chen, Li-Chyong; Lee, Chih-Hao; Wu, Heng-Liang; Chen, Kuei-Hsien ACS Omega 7(11), 9152-9163
222022Synthesis and characterization of Ge-Ag-Sb-S-Se-Te high-entropy thermoelectric alloysYang, Min-Jung; Yusuf, Fakhri, Muhammad; Liao, Chien-Neng; Chen, Kuei-Hsien Materials Letters 311:131617
232022Boosting photocatalytic CO2 reduction in a ZnS/ZnIn2S4 heterostructure through strain-induced direct Z-scheme and a mechanistic study of molecular CO2 interaction thereonAmr Sabbah; Indrajit Shown; Mohammad Qorbani; Fang-Yu Fu; Tsai-Yu Lin; Heng-Liang Wu; Po-Wen Chung ; Chih-I Wu; Svette Reina Merden Santiago; Ji-Lin Shen; Kuei-Hsien Chen ; Li-Chyong ChenNano Energy 93:106809
242022Enhancing the photovoltaic properties of SnS-Based solar cells by crystallographic orientation engineeringHo, Thi-Thong; Jokar, Efat; Quadir, Shaham; Chen, Ruei-San; Liu, Fang-Chen; Chen, Cheng-Ying; Chen, Kuei-Hsien ; Chen, Li-ChyongSolar Energy Materials and Solar Cells 236:111499
252022Enhanced Thermoelectric Performance in Ternary Skutterudite Co(Ge0.5Te0.5)3 via Band EngineeringSuneesh Meledath Valiyaveettil; Duc-Long Nguyen; Deniz P Wong; Cheng-Rong Hsing; Laura Paradis-Fortin; Mohammad Qorbani; Amr Sabbah; Ta-Lei Chou; Kuei-Kuan Wu; Vasudevan Rathinam; Ching-Ming Wei ; Li-Chyong Chen; Kuei-Hsien Chen Inorganic Chemistry 61(10), 4442-4452
262022Understanding the effect of sputtering pressures on the thermoelectric properties of GeTe filmsDaichakomphu, Noppanut; Abbas Suman; Chou, Ta-Lei; Chen, Li-Chyong; Chen, Kuei-Hsien ; Sakulkalavek, Aparporn; Sakdanuphab, RachsakJournal of Alloys and Compounds 893:162342
272022Metal-free four-in-one modification of g-C3N4 for superior photocatalytic CO2 reduction and H2 evolutionMahmoud Kamal Hussien; Amr Sabbah; Mohammad Qorbani; Mohamed Hammad Elsayed; Putikam Raghunath; Tsai-Yu Lin; Shaham Quadir; Hong-Yi Wang; Heng-Liang Wu; Der-Lii M Tzou ; Ming-Chang Lin; Po-Wen Chung ; Ho-Hsiu Chou; Li-Chyong Chen; Kuei-Hsien Chen Chemical Engineering Journal 430(Part 2):132853
282022Achieving synergistic performance through highly compacted microcrystalline rods induced in Mo doped GeTe based compoundsImam, Safdar; Bayikadi, Khasim Saheb; Ubaid, Mohammad; Ranganayakulu, V.K.; Devi, Sumangala; Pujari, Bhalchandra S.; Chen, Yang-Yuan ; Chen, Li-Chyong; Chen, Kuei-Hsien ; Lin, Feng-Li; Sankar, Raman Materials Today Physics 22, 100571
292022Bandgap Shrinkage and Charge Transfer in 2D Layered SnS2 Doped with V for Photocatalytic Efficiency ImprovementAbhijeet R. Shelke; Hsiao-Tsu Wang; Jau-Wern Chiou; Indrajit Shown; Amr Sabbah; Kuang-Hung Chen; Shu-Ang Teng; I-An Lin; Chi-Cheng Lee; Hung-Chung Hsueh; Yu-Hui Liang; Chao-Hung Du; Priyanka L. Yadav; Sekhar C. Ray; Shang-Hsien Hsieh; Chih-Wen Pao; Huang-Ming Tsai; Chia-Hao Chen; Kuei-Hsien Chen ; Li-Chyong Chen; Way-Faung PongSmall 18(2):e2105076
302022Modulating the Voltage Decay and Cationic Redox Kinetics of Li‐Rich Cathodes via Controlling the Local Electronic StructureYu, Hung-Ling; Ibrahim, Kassa Belay; Chi, Po-Wei; Su, Yu-Hsuan; Chen, Wei-Tin; Tseng, Shao-Chin; Tang, Mau-Tsu; Chen, Chi-Liang; Tang, Horng-Yi; Pao, Chih-Wen; Chen, Kuei-Hsein ; Wu, Maw-Kuen ; Wu, Heng-LiangAdvanced Functional Materials 32(24), 2112394
312021High-efficient photocatalytic degradation of commercial drugs for pharmaceutical wastewater treatment prospects: A case study of Ag/g-C3N4/ZnO nanocomposite materialsThang, Nguyen Quoc; Sabbah, Amr; Chen, Li-Chyong; Chen, Kuei-Hsien ; Thi, Cao Minh; Van Viet, PhamChemosphere 282:130971
322021How to Promote Medium-Sized Farms to Adopt Environmental Strategy to Achieve Sustainable Production during the COVID-19 Pandemic?Huang, Stanley Y. B.; Chen, Kuei-Hsien ; Lee, Yue-ShiAgriculture 11(11):1052
332021Thickness-Dependent Photocatalysis of Ultra-Thin MoS2 Film for Visible-Light-Driven CO2 ReductionHuang, Yi-Fan; Liao, Kuan-Wei; Fahmi, Fariz Rifqi Zul; Modak, Varad A.; Tsai, Shang-Hsuan; Ke, Shang-Wei; Wang, Chen-Hao; Chen, Li-Chyong; Chen, Kuei-Hsien Catalysts 11(11):1295
342021Synergistic Dual‐Atom Molecular Catalyst Derived from Low‐Temperature Pyrolyzed Heterobimetallic Macrocycle‐N4 Corrole Complex for Oxygen ReductionSamireddi, Satyanarayana; Aishwarya, V.; Shown, Indrajit; Muthusamy, Saravanakumar; Unni, Sreekuttan M.; Wong, Ken-Tsung; Chen, Kuei-Hsien ; Chen, Li-ChyongSmall 17(46):2103823
352021Enhancing thermoelectric performance of Sn0.5Ge0.5Te via doping with In/Zn, In/Sb and In/BiSong, Shaochang; Yang, Zan; Huang, Yuyang; Tseng, Yu-Chih; Valiyaveettil, Suneesh Meledath; Chen, Kuei-Hsien ; Mozharivskyj, YurijJournal of Solid State Chemistry 302:122444
362021Impact of Cation Substitution in (AgxCu1−x)2ZnSnSe4 Absorber-Based Solar Cells toward 10% Efficiency: Experimental and Theoretical AnalysesShaham Quadir; Mohammad Qorbani; Ying-Ren Lai; Amr Sabbah; Ho-Thi Thong; Michitoshi Hayashi; Cheng-Ying Chen; Kuei-Hsien Chen ; Li-Chyong ChenSolar RRL 5(10):2100441
372021Microstructural intra-granular cracking in Cu2ZnSnS4@C thin-film anode enhanced the electrochemical performance in lithium-ion battery applicationsVenugopal, Boya; Shown, Indrajit; Samireddi, Satyanarayana; Syum, Zeru; Krishnamoorthy, Vimal; Wu, Heng-Liang; Chu, Chih-Wei; Lee, Chih-Hao; Chen, Li-Chyong; Chen, Kuei-Hsien Materials Advances 2(17), 5672-5685
382021Copper Zinc Tin Sulfide Anode Materials for Lithium-Ion Batteries at Low TemperatureSyum, Zeru; Billo, Tadesse; Sabbah, Amr; Venugopal, Boya; Yu, Sheng-Yu; Fu, Fang-Yu; Wu, Heng-Liang; Chen, Li-Chyong; Chen, Kuei-Hsien ACS Sustainable Chemistry & Engineering 9(27), 8970-8979
392021Two-Dimensional Layered NiLiP2S6 Crystals as an Efficient Bifunctional Electrocatalyst for Overall Water SplittingHuang, Song-Jeng; Muneeb, Adil; Sabhapathy, Palani; Bayikadi, Khasim Saheb; Murtaza, Tahir; Raju, Kalaivanan; Chen, Li-Chyong; Chen, Kuei-Hsien ; Sankar, Raman Catalysts 11(7):786
402021Surface electron accumulation and enhanced hydrogen evolution reaction in MoSe2 basal planesChang, Y.S.; Chen, C.Y.; Ho, C.J.; Cheng, C.M.; Chen, H.R.; Fu, T.Y.; Huang, Y.T.; Ke, S.W.; Du, H.Y.; Lee, K.Y.; Chao, L.C.; Chen, L.C.; Chen, K.H. ; Chu, Y.W.; Chen, R.S.Nano Energy 84:105922
412021Thermally Strain-Induced Band Gap Opening on Platinum Diselenide-Layered Films: A Promising Two-Dimensional Material with Excellent Thermoelectric PerformanceSu, Teng-Yu; Wang, Te-Hsien; Wong, Deniz, P.; Wang, Yi-Chung; Huang, Angus; Sheng, Ying-Chun; Tang, Shin-Yi; Chou, Tsu-Chin; Chou, Ta-Lei; Jeng, Horng-Tay; Chen, Li-Chyong; Chen, Kuei-Hsien ; Chueh, Yu-LunChemistry of Materials 33(10), 3490-3498
422021Hydrogen enhancing Ga doping efficiency and electron mobility in high-performance transparent conducting Ga-doped ZnO filmsAnh Tuan Thanh Pham; Dung Van Hoang; Truong Huu Nguyen; Oanh Kieu Truong Le; Deniz P Wong; Jer-Lai Kuo ; Kuei-Hsien Chen ; Thang Bach Phan; Vinh Cao TranJournal of Alloys and Compounds 860:158518
432021Optimal method for preparing sulfonated polyaryletherketones with high ion exchange capacity by acid‐catalyzed crosslinking for proton exchange membrane fuel cellsLee, Shih-Wei; Abdi, Zelalem Gudeta; Chen, Jyh-Chien; Chen, Kuei-Hsien Journal of Polymer Science 59(8), 706-720
442021Solar to hydrocarbon production using metal-free water-soluble bulk heterojunction of conducting polymer nanoparticle and graphene oxideLien, Hsiang-Ting; Chang, Yu-Chung; Huang, Chih-Yang; Hsu, Hsin-Cheng; Chang, Sun-Tang; Wong, Deniz, P.; Wang, Chia-Hsin; Wang, Chen-Hao; Chen, Kuei-Hsien ; Chen, Li-ChyongThe Journal of Chemical Physics 154(16):164707
452021Poly(ether sulfone)-Based Anion Exchange Membranes Containing Dense Quaternary Ammonium Cations and Their Application for Fuel CellsChen, Ping-Yen; Chiu, Tse-Han; Chen, Jyh-Chien; Chang, Kai-Pin; Tung, Shih-Huang; Chuang, Wei-Tsung; Chen, Kuei-Hsien ACS Applied Energy Materials 4(3), 2201-2217
462021Nanoscale redox mapping at the MoS2-liquid interfaceDu, He-Yun; Huang, Yi-Fan; Wong, Deniz; Tseng, Mao-Feng; Lee, Yi-Hsin; Wang, Chen-Hao; Lin, Cheng-Lan; Hoffmann, Germar; Chen, Kuei-Hsien ; Chen, Li-ChyongNature Communications 12:1321
472021Electronic structure modulation of isolated Co-N4 electrocatalyst by sulfur for improved pH-universal hydrogen evolution reactionSabhapathy, Palani; Shown, Indrajit; Sabbah, Amr; Raghunath, Putikam; Chen, Jeng-Lung; Chen, Wei-Fu; Lin, Ming-Chang; Chen, Kuei-Hsien ; Chen, Li-ChyongNano Energy 80:105544
482021Localized surface plasmonic resonance role of silver nanoparticles in the enhancement of long-chain hydrocarbons of the CO2 reduction over Ag-gC3N4/ZnO nanorods photocatalystsThang, Nguyen Quoc; Sabbah, Amr; Chen, Li-Chyong; Chen, Kuei-Hsien ; Hai, Le Viet; Thi, Cao Minh; Viet, Pham VanChemical Engineering Science 229:116049
492021Superior lithium-ion storage performance of hierarchical tin disulfide and carbon nanotube-carbon cloth compositesZeru Syum; Boya Venugopal; Amr Sabbah; Tadesse Billo; Tsu-Chin Chou; Heng-Liang Wu; Li-Chyong Chen; Kuei-Hsien Chen Journal of Power Sources 482:228923
502020High zT and Its Origin in Sb-doped GeTe Single CrystalsRanganayakulu K. Vankayala; Tian-Wey Lan; Prakash Parajuli; Fengjiao Liu; Rahul Rao; Shih Hsun Yu; Tsu-Lien Hung; Chih-Hao Lee; Shin-ichiro Yano; Cheng-Rong Hsing; Duc-Long Nguyen; Cheng-Lung Chen; Sriparna Bhattacharya; Kuei-Hsien Chen ; Min-Nan Ou ; Oliver Rancu; Apparao M. Rao; Yang-Yuan Chen Advanced Science 7(24), 2002494
512020Highly improved thermoelectric performance of BiCuTeO achieved by decreasing the oxygen contentH-C Chang; T-H Chen; R Sankar ; Y-J Yang; L-C Chen; K-H Chen Materials Today Physics 15:100248
522020Integrated nano-architectured photocatalysts for photochemical CO2 reductionShit, Subhash Chandra; Shown, Indrajit; Paul, Ratul; Chen, Kuei-Hsien ; Mondal, John; Chen, Li-ChyongNanoscale 12(46), 23301-23332
532020Probing the active site in single-atom oxygen reduction catalysts via operando X-ray and electrochemical spectroscopyLien, Hsiang-Ting; Chang, Sun-Tang; Chen, Po-Tuan; Wong, Deniz P.; Chang, Yu-Chung; Lu, Ying-Rei; Dong, Chung-Li; Wang, Chen-Hao; Chen, Kuei-Hsien ; Chen, Li-ChyongNature Communications 11:4233
542020Fast growth of large-grain and continuous MoS2 films through a self-capping vapor-liquid-solid methodChang, Ming-Chiang; Ho, Po-Hsun; Tseng, Mao-Feng; Lin, Fang-Yuan; Hou, Cheng-Hung; Lin, I-Kuan; Wang, Hsin; Huang, Pin-Pin; Chiang, Chun-Hao; Yang, Yueh-Chiang; Wang, I-Ta; Du, He-Yun; Wen, Cheng-Yen; Shyue, Jing-Jong; Chen, Chun-Wei; Chen, Kuei-Hsien ; Chiu, Po-Wen; Chen, Li-ChyongNature Communications 11:3682
552020A mechanistic study of molecular CO2 interaction and adsorption on carbon implanted SnS2 thin film for photocatalytic CO2 reduction activityBillo, Tadesse; Shown, Indrajit; Anbalagan, Aswin kumar; Effendi, Tirta Amerta; Sabbah, Amr; Fu, Fang-Yu; Chu, Che-Men; Woon, Wei-Yen; Chen, Ruei-San; Lee, Chih-Hao; Chen, Kuei-Hsien ; Chen, Li-ChyongNano Energy 72:104717
562020Synergistic optimization of thermoelectric performance of Sb doped GeTe with a strained domain and domain boundariesBayikadi, Khasim Saheb; Wu, Chien Ting; Chen, Li-Chyong; Chen, Kuei-Hsien ; Chou, Fang-Cheng; Sankar, Raman Journal of Materials Chemistry A 8(10), 5332-5341
572020Advanced nanoporous separators for stable lithium metal electrodeposition at ultra-high current densities in liquid electrolytesYang, Jingling; Wang, Chun-Yao; Wang, Chun-Chieh; Chen, Kuei-Hsien ; Mou, Chung-Yuan; Wu, Heng-LiangJournal of Materials Chemistry A 8(10), 5095-5104
582020On the Reduction of O2 on Cathode Surfaces of Co–Corrin and Co–Porphyrin: A Computational and Experimental Study on Their Relative Efficiencies in H2O/H2O2 FormationHuang, Wen-Fei; Chang, Sun-Tang; Huang, Hsin-Chih; Wang, Chen-Hao; Chen, Li-Chyong; Chen, Kuei-Hsien ; Lin, M. C.The Journal of Physical Chemistry C 124(8), 4652-4659
592020Enhanced Thermoelectric Properties of In-Filled Co4Sb12 with InSb NanoinclusionsGhosh, Sanyukta; Meledath, Valiyaveettil Suneesh; Shankar, Gyan; Maity, Taraknath; Chen, Kuei-Hsien ; Biswas, Krishanu; Suwas, Satyam; Mallik, Ramesh ChandraACS Applied Energy Materials 3(1), 635-646
602019Origin of band modulation in GeTe-rich Ge-Sb-Te thin filmDeniz P. Wong; Masoud Aminzare; Ta-Lei Chou; Chin-Sheng Pang; Yi-Ren Liu; Tzu-Hsien Shen; Benjamin K. Chang; Hsiang-Ting Lien; Sun-Tang Chang; Chia-Hua Chien; YangYuan Chen; Ming-Wen Chu; Yaw-Wen Yang; Wen-Pin Hsieh ; Gerda Rogl; Peter Franz Rogl; Yohei Kakefuda; Takao Mori; Mei-Yin Chou ; Li-Chyong Chen; Kuei-Hsien Chen ACS Applied Electronic Materials 1(12), 2619-2625
612019Polybenzimidazoles containing heterocyclic benzo[c]cinnoline structure prepared by sol-gel process and acid doping level adjustment for high temperature PEMFC applicationChen, Jyh-Chein; Hsiao, You-Rong; Liu, Yin-Cheng; Chen, Ping-Yen; Chen, Kuei-Hsien Polymer 182:121814
622019Interface engineering of CdS/CZTSSe heterojunctions for enhancing the Cu2ZnSn(S,Se)4 solar cell efficiencyChen, Wei-Chao; Chen, Cheng-Ying; Lin, Yi-Rung; Chang, Jan-Kai; Chen, Chun-Hsiang; Chiu, Ya-Ping; Wu, Chih-I.; Chen, Kuei-Hsien ; Chen, Li-ChyongMaterials Today Energy 13, 256-266
632019Edge Doping Effect to the Surface Plasmon Resonances in Graphene NanoribbonsAbeysinghe, Don C.; Nader, Nima; Myers, Joshua; Hendrickson, Joshua R.; Cleary, Justin W.; Walker, Dennis E.; Chen, Kuei-Hsien ; Liu, Yongmin; Mou, ShinThe Journal of Physical Chemistry C 123(32), 19820-19827
642019Thermoelectric properties of Mn doped BiCuSeODas, Sayan; Valiyaveettil, Suneesh Meledath; Chen, Kuei-Hsien ; Suwas, Satyam; Mallik, Ramesh ChandraMaterials Research Express 6(8):086305
652019Enhanced thermoelectric performance of GeTe through in situ microdomain and Ge-vacancy controlKhasim Saheb Bayikadi; Raman Sankar ; Chien Ting Wu; Chengliang Xia; Yue Chen; Li-Chyong Chen; Kuei-Hsien Chen ; Fang-Cheng ChouJOURNAL OF MATERIALS CHEMISTRY A 7(25), 15181-15189
662019KSCN-induced Interfacial Dipole in Black TiO2 for Enhanced Photocatalytic CO2 ReductionFu, Fang-Yu; Shown, Indrajit; Li, Chia-Shuo; Raghunath, Putikam; Lin, Tsai-Yu; Billo, Tadesse; Wu, Heng-Liang; Wu, Chih-I; Chung, Po-Wen ; Lin, Ming-Chang; Chen, Li-Chyong; Chen, Kuei-Hsien ACS Applied Materials & Interfaces 11(28), 25186-25194
672019Integration of Interfacial and Alloy Effects to Modulate Catalytic Performance of Metal–Organic-Framework-Derived Cu–Pd Nanocrystals toward Hydrogenolysis of 5-HydroxymethylfurfuralSarkar, Chitra; Koley, Paramita; Shown, Indrajit; Lee, Jihyeon; Liao, Yen-Fa; An, Kwangjin; Tardio, James; Nakka, Lingaiah; Chen, Kuei-Hsien ; Mondal, JohnACS Sustainable Chemistry & Engineering 7(12), 10349-10362
682019Effect of Sn Substitution on the Thermoelectric Properties of Synthetic TetrahedriteTippireddy, Sahil; Prem Kumar, D. S.; Karati, Anirudha; Ramakrishnan, Anbalagan; Sarkar, Shreya; Peter, Sebastian C.; Malar, P.; Chen, Kuei-Hsien ; Murty, B. S.; Mallik, Ramesh ChandraACS Applied Materials & Interfaces 11(24), 21686-21696
692019Thermoelectric Properties of Zn Doped BiCuSeODas, Sayan; Ramakrishnan, Anbalagan; Rudra, Moumin; Chen, Kuei-Hsien ; Sinha, T. P.; Misra, Dinesh Kumar; Mallik, Ramesh ChandraJournal of Electronic Materials 48, 3631-3642
702019Enhanced thermoelectric performance of BiCuTeO by excess Bi additionsChang, Hui-Ching; You, Hao-Jen; Sankar, Raman; Yang, Ying-Jay; Chen, Li-Chyong; Chen, Kuei-Hsien Ceramics International 45(7), 9254-9259
712019Integration of a (–Cu–S–)n plane in a metal–organic framework affords high electrical conductivityPathak, Abhishek; Shen, Jing-Wen; Usman, Muhammad; Wei, Ling-Fang; Mendiratta, Shruti; Chang, Yu-Shin; Sainbileg, Batjargal; Ngue, Chin-May; Chen, Ruei-San; Hayashi, Michitoshi; Luo, Tzuoo-Tsair; Chen, Fu-Rong; Chen, Kuei-Hsien ; Tseng, Tien-Wen; Chen, Li-Chyong; Lu, Kuang-Lieh Nature Communications 10:1721
722019Highly efficient nitrogen and carbon coordinated N–Co–C electrocatalysts on reduced graphene oxide derived from vitamin-B12 for the hydrogen evolution reactionSabhapathy, Palani; Liao, Chen-Cheng; Chen, Wei-Fu; Chou, Tsu-Chin; Shown, Indrajit; Sabbah, Amr; Lin, Yan-Gu; Lee, Jyh-Fu; Tsai, Ming-Kang; Chen, Kuei-Hsien ; Chen, Li-ChyongJournal of Materials Chemistry A 7(12), 7179-7185
732019Thermoelectric and electronic properties of chromium substituted tetrahedritePrem Kumar, D S; Tippireddy, Sahil; Ramakrishnan, Anbalagan; Chen, Kuei-Hsien ; Malar, P; Mallik, Ramesh ChandraSemiconductor Science and Technology 34(3):035017
742019Ultrasensitive Gas Sensors Based on Vertical Graphene Nanowalls/SiC/Si HeterostructureRoy, Pradip Kumar; Haider, Golam; Chou, Tsu-Chin; Chen, Kuei-Hsien ; Chen, Li-Chyong; Chen, Yang-Fang; Liang, Chi-TeACS Sensors 4(2), 406-412
752019Enhanced Thermoelectric Performance via Oxygen Manipulation in BiCuTeOChang, Hui-Ching; You, Hao-Jen; Sankar, Raman; Yang, Ying-Jay; Chen, Li-Chyong; Chen, Kuei-Hsien MRS Advances 4, 499-505
762019Thermoelectric properties of Pb and Na dual doped BiCuSeODas, Sayan; Valiyaveettil, Suneesh Meledath; Chen, Kuei-Hsien ; Suwas, Satyam; Chandra, Mallik RameshAIP Advances 9(1):015025
772019Effect of single metal doping on the thermoelectric properties of SnTeAminzare, Masoud; Tseng, Yu-Chih; Ramakrishnan, Anbalagan; Chen, Kuei-Hsien ; Mozharivskyj, YurijSustainable Energy & Fuels 3(1), 251-263
782017Correction: Multi-porous Co3O4 nanoflakes@sponge-like few-layer partially reduced graphene oxide hybrids: towards highly stable asymmetric supercapacitorsQorbani, Mohammad; Chou, Tsu-chin; Lee, Yi-Hsin; Samireddi, Satyanarayana; Naseri, Naimeh; Ganguly, Abhijit; Esfandiar, Ali; Wang, Chen-Hao; Chen, Li-Chyong; Chen, Kuei-Hsien ; Moshfegh, Alireza Z.Journal of Materials Chemistry A 5(24), 12578-12578
792017Effect of pore-directing agents in SBA-15 nanoparticles on the performance of Nafion®/SBA-15n composite membranes for DMFCYang, Ciao-Wei; Chen, Chin-Chang; Chen, Kuei-Hsien ; Cheng, SoofinJournal of Membrane Science 526, 106-117
802016Local Property Change of Graphene Induced by a Cu NanoparticleLi-Wei Huang; Horng-Tay Jeng; Cheng-Kai Chang; Kuei-Hsien Chen ; Fu-Rong Chen; Chia-Seng Chang CARBON 98, 666
812015Conducting Polymer Based Flexible SupercapacitorI. Shown; A. Ganguly; L. C. Chen; K. H. Chen Energy Science & Engineering 3(1), 2-26
822014Production and storage of energy with one-dimensional semiconductor nanostructuresA. Ganguly; S. Chattopadhyay; K.H. Chen ; L.C. ChenCritical Reviews in Solid State and Materials Sciences 39(2), 109-153
832014Anti-reflecting NanostructuresA. Ganguly; S. Chattopadhyay; P.K. Roy; L.C. Chen; K.H. Chen Biomimetic Architectures by Plasma Processing: Fabrication and Applications (Singapore : Pan-Stanford)
842012Room-temperature heteroepitaxy of single-phase Al1−xInxN films with full composition range on isostructural wurtzite templatesHsiao, Ching-Lien; Palisaitis, Justinas; Junaid, Muhammad; Persson, Per O.Å.; Jensen, Jens; Zhao, Qing-Xiang; Hultman, Lars; Chen, Li-Chyong; Chen, Kuei-Hsien ; Birch, JensThin Solid Films 524, 113-120
852012Enhancements in device efficiency of poly(3-hexylthiophene): [6,6]-phenyl C61-butyric acid methyl ester based solar cells with incorporation of bathocuproineTseng, Wei-Hsuan; Chen, Mei-Hsin; Chang, Ching-Chun; Lin, Wei-Hsiang; Chen, Li-Chyong; Chen, Kuei-Hsien ; Wu, Chih-IThin Solid Films 520(16), 5413-5416
862012Stand-up structure of graphene-like carbon nanowalls on CNT directly grown on polyacrylonitrile-based carbon fiber paper as supercapacitorHsu, Hsin-Cheng; Wang, Chen-Hao; Nataraj, S. K.; Huang, Hsin-Chih; Du, He-Yun; Chang, Sun-Tang; Chen, Li-Chyong; Chen, Kuei-Hsien Diamond and Related Materials 25, 176-179
872012Studies of electronic excitations of rectangular ZnO nanorods by electron energy-loss spectroscopyWu, Chien-Ting; Chu, Ming-Wen; Liu, Chuan-Pu; Chen, Kuei-Hsien ; Chen, Li-Chyong; Chen, Chun-Wei; Chen, Cheng-HsuanPlasmonics 7, 123-130
882012Effect of substrate temperature on orientation of subphthalocyanine molecule in organic photovoltaic cellsChou, Chi-Ta; Tang, Wei-Li; Tai, Yian; Lin, Chien-Hung; Liu, Chin-Hsin J.; Chen, Li-Chyong; Chen, Kuei-Hsien Thin Solid Films 520(6), 2289-2292
8920124 - Biomimetic nanostructures for anti-reflection (AR) devicesS. Chattopadhyay; Y.F. Huang; K.H. Chen ; L.C. ChenOptical Biomimetics: Materials and Applications (Woodhouse Publishing Co.)
902012Chapter 4: Biomimetic nanostructures for anti-reflection (AR) devicesSurojit Chattopadhyay; Yi-Fan Huang; Kuei-Hsien Chen ; Li-Chyong ChenOptical Biomimetics: Materials and Applications (Woodhead Publishing)
912012Recent advances in GaN nanowires: surface-controlled conduction and sensing applicationsRuei-San Chen; Abhijit Ganguly; Li-Chyong Chen; Kuei-Hsien Chen GaN and ZnO-based Materials and Devices (Springer)
922011Size-dependent persistent photocurrent and surface band bending in m-axial GaN nanowiresChen, Hsin-Yi; Chen, Reui-San; Rajan, Nitin K.; Chang, Fu-Chieh; Chen, Li-Chyong; Chen, Kuei-Hsien ; Yang, Ying-Jay; Reed, Mark A.Physical Review B 84:205443
932011Tuning open-circuit voltage in organic solar cells by magnesium modified Alq3Chou, Chi-Ta; Lin, Chien-Hung; Wu, Meng-Hsiu; Cheng, Tzu-Wei; Lee, Jiun-Haw; Liu, Chin-Hsin J.; Tai, Yian; Chattopadhyay, Surojit; Wang, Juen-Kai ; Chen, Kuei-Hsien ; Chen, Li-ChyongJournal of Applied Physics 110:083104
942011A novel membrane reactor for separating hydrogen and oxygen in photocatalytic water splittingYu, Szu-Chun; Huang, Chao-Wei; Liao, Chi-Hung; Wu, Jeffrey, C.S.; Chang, Sun-Tang; Chen, Kuei-Hsien Journal of Membrane Science 382(1-2), 291-299
952011Origin and tuning of surface optic and long wavelength phonons in biomimetic GaAs nanotip arraysHuang, Yi-Fan; Chattopadhyay, Surojit; Hsu, Hsu-Cheng; Wu, Chien-Ting; Chen, Kuei-Hsien ; Chen, Li-ChyongOptical Materials Express 1(4), 535-542
962011Raman scattering and Rutherford backscattering studies on InN films grown by plasma-assisted molecular beam epitaxyChung, Yee Ling; Peng, Xingyu; Liao, Ying Chieh; Yao, Shude; Chen, Li-Chyong; Chen, Kuei-Hsien ; Feng, Zhe ChuanThin Solid Films 519(20), 6778-6782
972011Highly flexible supercapacitors with manganese oxide nanosheet/carbon cloth electrodeChen, Ying-Chu; Hsu, Yu-Kuei; Lin, Yan-Gu; Lin, Yu-Kai; Horng, Ying-Ying; Chen, Li-Chyong; Chen, Kuei-Hsien Electrochimica Acta 56(20), 7124-7130
982011Tuning energy levels in magnesium modified Alq3Lin, Chien-Hung; Chou, Chi-Ta; Chen, Yu-Hung; Wu, Meng-Hsiu; Lee, Jiun-Haw; Wu, Chih-I; Chattopadhyay, Surojit; Liu, Chin-Hsin J.; Song, Ker-Jar ; Tai, Yian; Wang, Juen-Kai; Chen, Kuei-Hsien ; Chen, Li-ChyongJOURNAL OF APPLIED PHYSICS 109:083541
992011Oxygen reducing activity of methanol-tolerant catalysts by high-temperature pyrolysisWang, Chen-Hao; Chang, Sun-Tang; Hsu, Hsin-Cheng; Du, He-Yun; Wu, Jeffrey Chi-Sheng; Chen, Li-Chyong; Chen, Kuei-Hsien Diamond and Related Materials 20(3), 322-329
1002011Optical properties of functionalized GaN nanowiresHsu, Chih-Wei; Ganguly, Abhijit; Chen, Chin-Pei; Kuo, Chun-Chiang; Paskov, Plamen P.; Holtz, Per Olof; Chen, Li-Chyong; Chen, Kuei-Hsien Journal of Applied Physics 109(5):053523
1012011Photocatalytic CdSe QDs-decorated ZnO nanotubes: an effective photoelectrode for splitting waterChouhan, Neelu; Yeh, Chai Ling; Hu, Shu-Fen; Liu, Ru-Shi; Chang, Wen-Sheng; Chen, Kuei-Hsien Chemical Communications 47(12), 3493-3495
1022011Low-frequency contact noise of GaN nanowire device detected by cross-spectrum techniqueLi, Liang-Chen; Huang, Kuo-Hsun; Wei, Jia-An; Suen, Yuen-Wuu; Liu, Ting-Wei; Chen, Chia-Chun; Chen, Li-Chyong; Chen, Kuei-Hsien Japanese Journal of Applied Physics 50:06GF21
1032010Spectroscopic characterizations of individual single-crystalline GaN nanowires in visible/ultra-violet regimeWu, Chien-Ting; Chu, Ming-Wen; Chen, Li-Chyong; Chen, Kuei-Hsien ; Chen, Chun-Wei; Chen, Cheng HsuanMicron 41(7), 827-832
1042010Near infrared photodetector based on polymer and indium nitride nanorod organic/inorganic hybridsLai, Wei-Jung; Li, Shao-Sian; Lin, Chih-Cheng; Kuo, Chun-Chiang; Chen, Chun-Wei; Chen, Kuei-Hsien ; Chen, Li-ChyongScripta Materialia 63(6), 653-656
1052010Publisher's Note: “Effects of cathode buffer layers on the efficiency of bulk-heterojunction solar cells” [Appl. Phys. Lett. 96, 263506 (2010)]Chang, Ching-Chun; Lin, Chi-Feng; Chiou, Jian-Ming; Ho, Tzung-Han; Tai, Yian; Lee, Jiun-Haw; Chen, Yang-Fang; Wang, Juen-Kai; Chen, Li-Chyong; Chen, Kuei-Hsien Applied Physics Letters 97:049901
1062010Effect of XeF Laser Treatment on Structure of Nanocrystalline Diamond FilmsHuang, Bohr-Ran; Jou, Shyankay; Wu, Yao-Ming; Chen, Kuei-Hsien ; Chen, Li-ChyongDiamond and Related Materials 19(5-6), 445-448
1072010m-plane (101̱0) InN heteroepitaxied on (100)-γ-LiAlO2 substrate: Growth orientation control and characterization of structural and optical anisotropyHsiao, Ching-Lien; Chen, Jr-Tai; Hsu, Hsu-Cheng; Liao, Ying-Chieh; Tseng, Po-Han; Chen, Yen-Ting; Feng, Zhe Chuan; Tu, Li-Wei; Chou, Mitch M. C.; Chen, Li-Chyong; Chen, Kuei-Hsien Journal of Applied Physics 107:073502
1082010Room-temperature negative photoconductivity in degenerate InN thin films with a supergap excitationWei, Pai-Chun; Chattopadhyay, Surojit; Yang, Min-De; Tong, Shih-Chang; Shen, Ji-Lin; Lu, Chien-Yao; Shih, Han-Chang; Chen, Li-Chyong; Chen, Kuei-Hsien Physical Review B 81:045306
1092009An ab-initio approach to the optical properties of CxNy single wall nanotubesJana, Debnarayan; Chen, Li-Chyong; Chen, Chun Wei; Chen, Kuei-Hsien Diamond and Related Materials 18(5-8), 1002-1005
1102009Pd-catalyzed hydrogen sensing with InN nanobeltsWright, J. S.; Lim, Wantae; Gila, B. P.; Pearton, S. J.; Ren, F.; Lai, Wei-Ta; Chen, Li-Chyong; Hu, Ming-Shien; Chen, Kuei-Hsien Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures Processing, Measurement, and Phenomen 27(3), L8
1112009Characterization of air-exposure/activation cycles of porous Ti–Zr–V getter film using synchrotron radiation photoemission spectroscopyLi, Chien-Cheng; Huang, Jow-Lay; Lin, Ran-Jin; Lii, Ding-Fwu; Chen, Chia-Hao; Chen, Li-Chyong; Chen, Kuei-Hsien Thin Solid Films 517(13), 3672-3676
1122009Electrophoretic deposition of PtRu nanoparticles on carbon nanotubes for methanol oxidationHsu, Yu-Kuei; Yang, Ju-Lan; Lin, Yan-Gu; Chen, San-Yuan; Chen, Li-Chyong; Chen, Kuei-Hsien Diamond and Related Materials 18(2-3), 557-562
1132009CHAPTER 8: Carbon nanotube-supported catalysts for the direct methanol fuel cellC. H. Wang; L. C. Chen; K.H. Chen Electrocatalysis of Direct Methanol Fuel Cells: From Fundamentals to Applications (New York : John Wiley & Sons)
1142008Thermal diffusivity study in supported epitaxial InN thin films by the Traveling-Wave techniqueWei, Pai-Chun; Shih, Han-Chang; Chattopadhyay, Surojit; Hsu, Chih-Ming; Lin, Fang-Sheng; Chen, Kuei-Hsien ; Ganguly, Abhijit; Chen, Li-ChyongJournal of Applied Physics 104(6):064920
1152008Evaluation of microstructures and mechanical properties of diamond like carbon films deposited by filtered cathodic arc plasmaTsai, Pi-Chuen; Chen, Kuei-Hsien Thin Solid Films 516(16), 5440-5444
1162008Ultrafast Charging-Discharging Capacitive Property of RuO2 Nanoparticles on Carbon Nanotubes Using Nitrogen IncorporationFang, Wei-Chuan; Leu, Min-Sheng; Chen, Kuei-Hsien ; Chen, Li-ChyongJournal of The Electrochemical Society 155(1), K15
1172007Superior capacitive property of RuO2 nanoparticles on carbon nanotubes incorporated with nitrogenFang, Wei-Chuan; Chen, Kuei-Hsien ; Chen, Li-ChyongNanotechnology 18: 485716
1182007Structural and optical properties of single crystal Zn1−xMgxO nanorods—Experimental and theoretical studiesChang, Yu-Sheng; Chien, Chih-Tao; Chen, Chun-Wei; Chu, Ta-Ya; Chiang, Hsuen-Han; Ku, Chen-Hao; Wu, Jih-Jen; Lin, Chao-Sung; Chen, Li-Chyong; Chen, Kuei-Hsien Journal of Applied Physics 101(3):033502
1192007Influence of Catalyst Oxidation on the Growth of Nitrogen-containing Carbon Nanotubes for Energy Generation and Storage ApplicationsFang, Wei-Chuan; Huang, Jin-Hua; Chen, Li-Chyong; Chen, Kuei-Hsien ; Su, Yuh-Long OliverDiamond and Related Materials 16(4-7), 1140-1143
1202006High Methanol Oxidation Activity of Electrocatalysts Supported by Directly Grown Nitrogen-Containing Carbon Nanotubes on Carbon ClothWang, Chen-Hao; Shih, Han-Chang; Tsai, Yu-Tai; Du, He-Yun; Chen, Li-Chyong; Chen, Kuei-Hsien Electrochimica Acta 52(4), 1612-1617
1212004‘Spillout’ effect in gold nanoclusters embedded in c-Al2O3(0 0 0 1) matrixDhara, S.; Sundaravel, B.; Ravindran, T.R.; Nair, K.G.M.; David, C.; Panigrahi, B.K.; Magudapathy, P.; Chen, K.H. Chemical Physics Letters 399(4-6), 354-358
1222004Blue Luminescence of Au Nanoclusters Embedded in Silica Matrix.Dhara, S.; Chandra, S.; Magudapathy, P.; Kalavathi, S.; Panigrahi, B. K.; Nair, K. G. M.; Sastry, V. S.; Hsu, C. W.; Wu, C. T.; Chen, K. H. ; Chen, L. C.The Journal of Chemical Physics 121(24):12595
1232004Electrical properties of annealed MPCVD grown vertically aligned carbon nanotube filmsHuang, B.R.; Huang, C.S.; Wu, C.C.; Chen, L.-C.L.; Chen, K.H. Diamond and Related Materials 13(11-12), 2156-2159
1242004Growth and characterization of vertically aligned self-assembled IrO2 nanotubes on oxide substratesChen, R. S.; Chang, H. M.; Huang, Y. S.; Tsai, D. S.; Chattopadhyay, S.; Chen, K. H. Journal of Crystal Growth 271(1-2), 105-112
1252004Growth mechanism, structure and IR photoluminescence studies of indium nitride nanorodsLan, Z. H.; Wang, W. M.; Sun, C. L.; Shi, S. C.; Hsu, C. W.; Chen, K. H. ; Chen, C. C.; Chen, L. C.Journal of Crystal Growth 269(1), 87-94
1262004Electronic and bonding structures of B-C-N thin films investigated by x-ray absorption and photoemission spectroscopyRay, S. C.; Tsai, H. M.; Bao, C. W.; Chiou, J. W.; Jan, J. C.; Kumar, K.; Pong, W. F.; Tsai, M. -H.; Chattopadhyay, S.; Chen, L. C.; Chien, S. C.; Lee, M. T.; Lin, S. T.; Chen, K. H. Journal of Applied Physics 96(1):208
1272004Growth and characterization of gallium nitride nanowires produced on different sol-gel derived catalyst dispersed in titania and polyvinyl alcohol matrixChatterjee, A.; Chattopadhyay, S.; Hsu, C. W.; Shen, C. H.; Chen, L. C.; Chen, C. C.; Chen, K. H. Journal of Materials Research 19(6), 1768-1774
1282004Strong Room-Temperature UV Emission of Nanocrystalline ZnO Films Derived from a Polymeric Solution.Chatterjee, A.; Shen, C. H.; Ganguly, A.; Chen, L. C.; Hsu, C. W.; Hwang, J. Y.; Chen, K. H. Chemical Physics Letters 391(4-6), 278-282
1292004Characterization of Nanodome on GaN Nanowires Formed with Ga Ion IrradiationMuto, Shunsuke; Dhara, Sandip; Datta, Anindya; Hsu, Chi-Wei; Wu, Chin-Ting; Shen, Ching-Hsing; Chen, Li-Chyong; Chen, Kuei-Hsien ; Wang, Yuh-Lin ; Tanabe, Tetsuo; Maruyama, Tadashi; Lin, Hong-Ming; Chen, Chia-ChunMATERIALS TRANSACTIONS 45(2), 435-439
1302004Group III- and Group IV-Nitride Nanorods and NanowiresL. C. Chen; K. H. Chen ; C. C. ChenNanowires and Nanobelts – materials, properties and devices ( Vol. I: Metal and Semiconductor Nanowires)
1312003Mechanical properties of amorphous boron carbon nitride films produced by dual gun sputteringS. C. Chien; S. Chattopadhyay; L. C. Chen; S. T. Lin; K. H. Chen Diamond and Related Materials 12(9), 1463-1471
1322003Band-Gap Dependence of Field Emission From One-Dimensional Nanostructures Grown on N-type and P-type Silicon Substrates.Chang, C. S.; Chattopadhyay, S.; Chen, L. C.; Chen, K. H. ; Chen, C. W.; Chen, Y. F.; Collazo, R.; Sitar, Z.Physical Review B 68(12):125322
1332003Controlled growth of silicon carbide nanorods by rapid thermal process and their field emission propertiesYang, T. H.; Chen, C. H.; Chatterjee, A.; Li, H. Y.; Lo, J. T.; Wu, C. T.; Chen, K. H. ; Chen, L. C.Chemical Physics Letters 379(1-2), 155-161
1342003Quasiquenching size effects in gold nanoclusters embedded in silica matrixDhara, S.; Kesavamoorthy, R.; Magudapathy, P.; Premila, M.; Panigrahi, B. K.; Nair, K. G. M.; Wu, C. T.; Chen, K. H. ; Chen, L. C.Chemical Physics Letters 370(1-2), 254-260
1352002Growth of High-Quality Epitaxial InN Film with High-Speed Reactant Gas by Organometallic Vapor-Phase EpitaxyYang, Fu-Hsiang; Hwang, Jih-Sheng; Yang, Ying-Jay; Chen, Kuei-Hsien ; Wang, Jih-HsiangJapanese Journal of Applied Physics 41(11B):L1321
1362002Improvement of Field Emission Characteristics of Carbon Nanotubes by Excimer Laser TreatmentChen, Kuo-Ji; Hong, Wei-Kai; Lin, Chia-Pin; Chen, Kuei-Hsien ; Chen, Li-Chyong; Cheng, Huang-ChungJapanese Journal of Applied Physics 41(10R):6132
1372002Field emission of nanostructured amorphous SiCN films deposited by reactive magnetron sputtering of SiC in CH4/N2 atmosphereLin, H. Y.; Chen, Y. C.; Lin, C. Y.; Tong, Y. P.; Hwa, L. G.; Chen, K. H. ; Chen, L. C.Thin Solid Films 416(1-2), 85-91
1382002Spectroscopic studies of nitrogenated amorphous carbon films prepared by ion beam sputteringDas, Debajyoti; Chen, K. H. ; Chattopadhyay, S.; Chen, L. C.Journal of Applied Physics 91(8):4944
1392002Correlation of Electrical, Thermal and Structural Properties of Microcrystalline Silicon Thin FilmsDas, Debajyoti; Jana, Madhusudan; Barua, Ashok K.; Chattopadhyay, Surajit; Chen, Li Chyong; Chen, Kuei Hsien Japanese Journal of Applied Physics 41(3A):L229
1402002High growth rate deposition of oriented hexagonal InN filmsYang, Fuh-Hsiang; Hwang, Jih-Shang; Chen, Kuei-Hsien ; Yang, Ying-Jay; Lee, Tzung-Han; Hwa, Luu-Gen; Chen, Li-ChyongThin Solid Films 405(1-2), 194-197
1412001Low turn-on voltage field emission triodes with selective growth of carbon nanotubesChen, K. J.; Hong, W. K.; Lin, C. P.; Chen, K. H. ; Chen, L. C.; Cheng, H. C.IEEE Electron Device Letters 22(11), 516-518
1422001Preparation and characterization of carbon nanotubes encapsulated GaN nanowiresChen, C. -C.; Yeh, C. -C.; Liang, C. -H.; Lee, C. -C.; Chen, C. -H.; Yu, M. -Y.; Liu, H. -L.; Chen, L. C.; Lin, Y. S.; Ma, K. J.; Chen, K. H. Journal of Physics and Chemistry of Solids 62(9-10), 1577-1586
1432001Electron beam induced formation of carbon nanorodsChen, K. H. ; Wen, C. Y.; Wu, C. T.; Chen, L. C.; Wang, C. T.; Ma, K. J.Journal of Physics and Chemistry of Solids 62(9-10), 1561-1565
1442001Fabrication and Characterization of Carbon Nanotube TriodesHong, Wei-Kai; Chen, Kuei-Hsien ; Chen, Li-Chyong; Tarntair, Fu-Gow; Chen, Kuo-Ji; Lin, Jia-Bin; Cheng, Huang-ChungJapanese Journal of Applied Physics 40(5R):3468
1452001Field emission properties of two-layer structured SiCN filmsTarntair, F. G.; Wu, J. J.; Chen, K. H. ; Wen, C. Y.; Chen, L. C.; Cheng, H. C.Surface and Coatings Technology 137(2-3), 152-157
1462001Growth of highly transparent nanocrystalline diamond films and a spectroscopic study of the growthChen, L. C.; Kichambare, P. D.; Chen, K. H. ; Wu, J. J.; Yang, J. R.; Lin, S. T.Journal of Applied Physics 89(1):753
1472001Chapter 2 - Silicon carbon nitride: A new wideband gap materialL. C. Chen; K. H. Chen ; J. J. Wu; D. M. Bhusari; M. C. LinSilicon-Based Material and Devices, Volume 1 (Academic Press)
1482000Enhancement in field emission of silicon microtips by bias-assisted carburizationKichambare, P. D.; Tarntair, F. G.; Wang, T. Y.; Chen, L. C.; Chen, K. H. ; Cheng, H. C.Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures 18(6):2722
1492000High current density field emission from arrays of carbon nanotubes and diamond-clad Si tipsTarntair, F. G.; Chen, L. C.; Wei, S. L.; Hong, W. K.; Chen, K. H. ; Cheng, H. C.Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures 18(3):1207
1502000Surface-enhanced Raman analysis of diamond films using different metalsHuang, B. R.; Chen, K. H. ; Ke, W. Z.Materials Letters 42(3), 162-165
1512000Interaction of atomic hydrogen with a Ge(111) surface: low-energy electron diffraction and surface Raman studiesSu, C.; Tsai, C. S.; Lin, T. E.; Chen, K. H. ; Wang, J. K.; Lin, J. C.Surface Science 445(2-3), 139-150
1522000Piezoreflectance study of an Fe-containing silicon carbon nitride crystalline filmHsieh, C. H.; Huang, Y. S.; Tiong, K. K.; Fan, C. W.; Chen, Y. F.; Chen, L. C.; Wu, J. J.; Chen, K. H. Journal of Applied Physics 87(1):280
1531999X-ray absorption of Si–C–N thin films: A comparison between crystalline and amorphous phasesChang, Y. K.; Hsieh, H. H.; Pong, W. F.; Tsai, M. H.; Dann, T. E.; Chien, F. Z.; Tseng, P. K.; Chen, L. C.; Wei, S. L.; Chen, K. H. ; Wu, J. J.; Chen, Y. F.Journal of Applied Physics 86(10), 5609-5613
1541999Raman Spectroscopic Studies of the Thermal Decomposition of Molybdenum Oxide/2,2′-Bipyridine CompoundsTwu, Jen; Yu, Yang-Yann; Tang, Chih-Wei; Wang, Gwang-Jung; Chen, Kuei-Hsien Applied Spectroscopy 53(9), 1083-1086
1551998Electronic and atomic structures of the Si-C-N thin film by x-ray-absorption spectroscopy and theoretical calculationsChang, Y. K.; Hsieh, H. H.; Pong, W. F.; Tsai, M. H.; Lee, K. H.; Dann, T. E.; Chien, F. Z.; Tseng, P. K.; Tsang, K. L.; Su, W. K.; Chen, L. C.; Wei, S. L.; Chen, K. H. ; Bhusari, D. M.; Chen, Y. F.Physical Review B 58(14):9018
1561998Novel two stage method for growth of highly transparent nano-crystalline diamond filmsBhusari, D. M.; Yang, J. R.; Wang, T. Y.; Chen, K. H. ; Lin, S. T.; Chen, L. C.Materials Letters 36(5-6), 279-283
1571998Traveling wave method for measurement of thermal conductivity of thin filmsBhusari, D. M.; Teng, C. W.; Chen, K. H. ; Chen, L. C.Review of Scientific Instruments 68(11), 4180-4183
1581998Highly transparent nano-crystalline diamond films grown by microwave CVDBhusari, D. M.; Yang, J. R.; Wang, T. Y.; Lin, S. T.; Chen, K. H. ; Chen, L. C.Solid State Communications 107(6), 301-305
1591997Temperature dependence of the direct band gap of Si-containing carbon nitride crystalline filmsLin, D. Y.; Li, C. F.; Huang, Y. S.; Jong, Y. C.; Chen, Y. F.; Chen, L. C.; Chen, C. K.; Chen, K. H. ; Bhusari, D. M.Physical Review B 56(11), 6498-6501
1601997Si-containing crystalline carbon nitride derived from microwave plasma-enhanced chemical vapor depositionChen, L. C.; Bhusari, D. M.; Yang, C. Y.; Chen, K. H. ; Chuang, T. J.; Lin, M. C.; Chen, C. K.; Huang, Y. F.Thin Solid Films 303(1-2), 66-75
1611995Micro-Raman for diamond film stress analysisChen, K. H. ; Lai, Y. L.; Lin, J. C. ; Song, K. J. ; Chen, L. C.; Huang, C. Y.Diamond and Related Materials 4(4), 460-463