Publications

Results 1-12 of 12 (Search time: 0.004 seconds).

Issue DateTitleAuthor(s)RelationscopusWOSFulltext/Archive link
12022DL-RSIM: A Reliability and Deployment Strategy Simulation Framework for ReRAM-based CNN AcceleratorsWei-Ting Ling; Hsiang-Yun Cheng ; Chia-Lin Yang; Meng-Yao Lin; Kai Lien; Han-Wen Hu; Hung-Sheng Chang; Hsiang-Pang Li; Meng-Fan Chang; Yen-Ting Tsou; Chin-Fu NienACM Transactions on Embedded Computing Systems (TECS) 21(3), 24
22022Efficient Bad Block Management with Cluster SimilarityJui-Nan Yen; Yao-Ching Hsieh; Tseng-Yi Chen; Cheng-Yu Chen; Chia-Lin Yang; Hsiang-Yun Cheng ; Yixin Luo
32022RePAIR: A ReRAM-based Processing-in-Memory Accelerator for Indel RealignmentTing Wu; Chin-Fu Nien; Kuang-Chao Chou; Hsiang-Yun Cheng 
42021RePIM: Joint Exploitation of Activation and Weight Repetitions for In-ReRAM DNN AccelerationChen-Yang Tsai; Chin-Fu Nien; Tz-Ching Yu; Hung-Yu Yeh; Hsiang-Yun Cheng 
52021ReSpar: Reordering Algorithm for ReRAM-based Sparse Matrix-Vector Multiplication AcceleratorYi-Jou Hsiao; Chin-Fu Nien; Hsiang-Yun Cheng 
62021Analyzing the Interplay Between Random Shuffling and Storage Devices for Efficient Machine LearningZhi-Lin Ke; Hsiang-Yun Cheng ; Chia-Lin Yang; Han-Wei Huang
72021Future Computing Platform Design: A Cross-Layer Design ApproachHsiang-Yun Cheng ; Chun-Feng Wu; Christian Hakert; Kuan-Hsun Chen; Yuan-Hao Chang; Jian-Jia Chen; Chia-Lin Yang; Tei-Wei Kuo
82020GraphRSim: A Joint Device-Algorithm Reliability Analysis for ReRAM-based Graph ProcessingChin-Fu Nien; Yi-Jou Hsiao; Hsiang-Yun Cheng ; Cheng-Yu Wen; Ya-Cheng Ko; Che-Ching Lin
92019TAP: Reducing the Energy of Asymmetric Hybrid Last-Level Cache via Thrashing Aware Placement and MigrationJing-Yuan Luo; Hsiang-Yun Cheng ; Ing-Chao Lin; Da-Wei ChangIEEE TRANSACTIONS ON COMPUTERS 68(12), 1704-1719
102019The Impact of Emerging Technologies on Architectures and System-level ManagementJörg Henkel; Hussam Amrouch; Martin Rapp; Sami Salamin; Dayane Reis; Di Gao; Xunzhao Yin; Michael Niemier; Cheng Zhuo; X. Sharon Hu; Hsiang-Yun Cheng ; Chia-Lin Yang
112019Sparse ReRAM Engine: Joint Exploration of Activation and Weight Sparsity in Compressed Neural NetworksTzu-Hsien Yang; Hsiang-Yun Cheng ; Chia-Lin Yang; I-Ching Tseng; Han-Wen Hu; Hung-Sheng Chang; Hsiang-Pang Li
122017Analyzing OpenCL 2.0 Workloads Using a Heterogeneous CPU-GPU SimulatorLi Wang; Ren-Wei Tsai; Shao-Chung Wang; Kun-Chih Chen; Po-Han Wang; Hsiang-Yun Cheng ; Yi-Chung Lee; Sheng-Jie Shu; Chun-Chieh Yang; Min-Yih Hsu; Li-Chen Kan; Chao-Lin Lee; Tzu-Chieh Yu; Rih-Ding Peng; Chia-Lin Yang; Yuan-Shin Hwang; Jenq-Kuen Lee; Shiao-Li Tsao; Ming Ouhyoung